Effective post-silicon validation of system-on-chips using quick error detection

D Lin, T Hong, Y Li, S Eswaran, S Kumar… - … on Computer-Aided …, 2014 - ieeexplore.ieee.org
This paper presents the Quick Error Detection (QED) technique for systematically creating
families of post-silicon validation tests that quickly detect bugs inside processor cores and …

A structured approach to post-silicon validation and debug using symbolic quick error detection

D Lin, E Singh, C Barrett, S Mitra - 2015 IEEE International Test …, 2015 - ieeexplore.ieee.org
During post-silicon validation and debug, manufactured integrated circuits (ICs) are tested in
actual system environments to detect and fix design flaws (bugs). Existing post-silicon …

Logic bug detection and localization using symbolic quick error detection

E Singh, D Lin, C Barrett, S Mitra - IEEE Transactions on …, 2018 - ieeexplore.ieee.org
We present Symbolic Quick Error Detection (Symbolic QED), a structured approach for logic
bug detection and localization which can be used both during pre-silicon design verification …

BugMD: Automatic mismatch diagnosis for bug triaging

B Mammo, M Furia, V Bertacco… - 2016 IEEE/ACM …, 2016 - ieeexplore.ieee.org
System-level validation is the most challenging phase of design verification. A common
methodology in this context entails simulating the design under validation in lockstep with a …

A secure scan architecture protecting scan test and scan dump using skew-based lock and key

H Woo, S Jang, S Kang - IEEE Access, 2021 - ieeexplore.ieee.org
Scan-based Design for Testability (DFT) is widely used in industry as it consistently provides
high fault coverage. However, scan-based DFT is prone to security vulnerabilities where …

Quick error detection tests with fast runtimes for effective post-silicon validation and debug

D Lin, S Eswaran, S Kumar… - … Design, Automation & …, 2015 - ieeexplore.ieee.org
Long error detection latency, the time elapsed from the occurrence of an error caused by a
bug to its manifestation as an observable failure, severely limits the effectiveness of existing …

System and method for testing a logic-based processing device

H Lin, S Mitra - US Patent 9,928,150, 2018 - Google Patents
A method of operating a test device for a logic-based processing device includes the steps
of providing an original set of test instructions, generating one or more Quick Error Detection …

Overcoming post-silicon validation challenges through quick error detection (QED)

D Lin, T Hong, Y Li, F Fallah… - … , Automation & Test …, 2013 - ieeexplore.ieee.org
Existing post-silicon validation techniques are generally ad hoc, and their cost and
complexity are rising faster than design cost. Hence, systematic approaches to post-silicon …

Reining in the functional verification of complex processor designs with automation, prioritization, and approximation

BW Mammo - 2017 - deepblue.lib.umich.edu
Our quest for faster and efficient computing devices has led us to processor designs with
enormous complexity. As a result, functional verification, which is the process of ascertaining …

QED post-silicon validation and debug: Frequently asked questions

D Lin, S Mitra - 2014 19th Asia and South Pacific Design …, 2014 - ieeexplore.ieee.org
During post-silicon validation and debug, one or more manufactured integrated circuits (ICs)
are tested in actual system environments to detect and fix design flaws (bugs). According to …