Recent research in clock power saving with multi-bit flip-flops

MPH Lin, CC Hsu, YT Chang - 2011 IEEE 54th International …, 2011 - ieeexplore.ieee.org
In modern large-scale, high-speed digital integrated circuit (IC) design, power consumption
of the clock network usually dominates the dynamic power of the chip due to its highest …

Design flow for flip-flop grouping in data-driven clock gating

S Wimer, I Koren - IEEE Transactions on very large scale …, 2013 - ieeexplore.ieee.org
Clock gating is a predominant technique used for power saving. It is observed that the
commonly used synthesis-based gating still leaves a large amount of redundant clock …

Power-driven flip-flop merging and relocation

SH Wang, YY Liang, TY Kuo, WK Mak - Proceedings of the 2011 …, 2011 - dl.acm.org
We propose a power-driven flip-flop merging and relocation approach that can be applied
after conventional timing-driven placement and before clock network synthesis. It targets to …

INTEGRA: Fast multibit flip-flop clustering for clock power saving

IHR Jiang, CL Chang, YM Yang - IEEE Transactions on …, 2012 - ieeexplore.ieee.org
Clock power is the major contributor to dynamic power for modern integrated circuit design.
A conventional single-bit flip-flop cell uses an inverter chain with a high drive strength to …

Placement: Hot or not?

C Alpert, Z Li, GJ Nam, CN Sze… - Proceedings of the …, 2012 - dl.acm.org
Placement is considered a fundamental physical design problem in electronic design
automation. It has been around so long that it is commonly viewed as a solved problem …

Post-placement power optimization with multi-bit flip-flops

MPH Lin, CC Hsu, YT Chang - IEEE Transactions on Computer …, 2011 - ieeexplore.ieee.org
Optimization for power is always one of the most important design objectives in modern
nanometer integrated circuit design. Recent studies have shown the effectiveness of …

Agglomerative-based flip-flop merging and relocation for signal wirelength and clock tree optimization

SSY Liu, WT Lo, CJ Lee, HM Chen - ACM Transactions on Design …, 2013 - dl.acm.org
In this article, we propose a flip-flop merging algorithm based on agglomerative clustering.
Compared to previous state-of-the-art on flip-flop merging, our proposed algorithm …

Crosstalk-aware power optimization with multi-bit flip-flops

CC Hsu, YT Chang, MPH Lin - 17th Asia and South Pacific …, 2012 - ieeexplore.ieee.org
Applying multi-bit flip-flops (MBFFs) for clock power reduction in modern nanometer ICs has
been becoming a promising lower-power design technique. Many previous works tried to …

Novel pulsed-latch replacement based on time borrowing and spiral clustering

CL Chang, IHR Jiang, YM Yang, EYW Tsai… - Proceedings of the …, 2012 - dl.acm.org
Flip-flops are the most common form of sequencing elements; however, they have a
significantly higher sequencing overhead than latches in terms of delay, power, and area …

Analytical clustering score with application to post-placement multi-bit flip-flop merging

C Xu, P Li, G Luo, Y Shi, IHR Jiang - Proceedings of the 2015 …, 2015 - dl.acm.org
Circuit clustering is usually done through discrete optimizations, with the purpose of circuit
size reduction or design-specific cluster formation. Specifically, we are interested in the multi …