Failure mechanisms driven reliability models for power electronics: A review

OE Gabriel, DR Huitink - Journal of …, 2023 - asmedigitalcollection.asme.org
Miniaturization as well as manufacturing processes that electronics devices are subjected to
often results in to increase in operational parameters such as current density, temperature …

The Understanding and Compact Modeling of Reliability in Modern Metal–Oxide–Semiconductor Field-Effect Transistors: From Single-Mode to Mixed-Mode …

Z Sun, S Chen, L Zhang, R Huang, R Wang - Micromachines, 2024 - mdpi.com
With the technological scaling of metal–oxide–semiconductor field-effect transistors
(MOSFETs) and the scarcity of circuit design margins, the characteristics of device reliability …

GridNet: Fast data-driven EM-induced IR drop prediction and localized fixing for on-chip power grid networks

H Zhou, W Jin, SXD Tan - … of the 39th International Conference on …, 2020 - dl.acm.org
Electromigration (EM) is a major failure effect for on-chip power grid networks of deep
submicron VLSI circuits. EM degradation of metal grid lines can lead to excessive voltage …

Analytical modeling of transient electromigration stress based on boundary reflections

MA Al Shohel, VA Chhabria… - 2021 IEEE/ACM …, 2021 - ieeexplore.ieee.org
Traditional methods that test for electromigration (EM) failure in multisegment interconnects,
over the lifespan of an IC, are based on the use of the Blech criterion, followed by Black's …

EMSpice: Physics-based electromigration check using coupled electronic and stress simulation

Z Sun, S Yu, H Zhou, Y Liu… - IEEE Transactions on …, 2020 - ieeexplore.ieee.org
In this article, a novel full-chip EM simulation tool, called EMSpice simulator is proposed.
The new method starts from first principles and simultaneously considers two major …

Fast analytic electromigration analysis for general multisegment interconnect wires

L Chen, SXD Tan, Z Sun, S Peng… - IEEE Transactions on …, 2019 - ieeexplore.ieee.org
Electromigration (EM) is considered to be one of the most important reliability issues for
current and future ICs in 10-nm technology and below. In this article, we propose a fast …

A fast semi-analytic approach for combined electromigration and thermomigration analysis for general multisegment interconnects

L Chen, SXD Tan, Z Sun, S Peng… - IEEE Transactions on …, 2020 - ieeexplore.ieee.org
Considering temperature gradient or thermomigration (TM) impacts on electromigration (EM)
due to Joule heating was less studied in the past. In this article, we propose a new semi …

Recent progress in physics-based modeling of electromigration in integrated circuit interconnects

WS Zhao, R Zhang, DW Wang - Micromachines, 2022 - mdpi.com
The advance of semiconductor technology not only enables integrated circuits with higher
density and better performance but also increases their vulnerability to various aging …

A new, computationally efficient “Blech criterion” for immortality in general interconnects

MA Al Shohel, VA Chhabria… - 2021 58th ACM/IEEE …, 2021 - ieeexplore.ieee.org
Traditional methodologies for analyzing electromigration (EM) in VLSI circuits first filter
immortal wires using Blech's criterion, and then perform detailed EM analysis on the …

Postvoiding fem analysis for electromigration failure characterization

H Zhao, SXD Tan - IEEE Transactions on Very Large Scale …, 2018 - ieeexplore.ieee.org
In this paper, we propose a novel finite-element method-based stress analysis for void
growth process after the void is nucleated in the confined copper interconnects. We focus on …