DRC hotspot prediction at sub-10nm process nodes using customized convolutional network

R Liang, H Xiang, D Pandey, L Reddy, S Ramji… - Proceedings of the …, 2020 - dl.acm.org
As the semiconductor process technology advances into sub-10nm regime, cell pin
accessibility, which is a complex joint effect from the pin shape and nearby blockages …

Pin accessibility prediction and optimization with deep learning-based pin pattern recognition

TC Yu, SY Fang, HS Chiu, KS Hu, PHY Tai… - Proceedings of the 56th …, 2019 - dl.acm.org
With the continuous scaling down of process nodes, standard cells become much smaller
and cell counts are dramatically increased. Pin accessibility becomes one of the major …

Complementary-FET (CFET) standard cell synthesis framework for design and system technology co-optimization using SMT

CK Cheng, CT Ho, D Lee, B Lin… - IEEE Transactions on …, 2021 - ieeexplore.ieee.org
With the relentless scaling of technology nodes, design technology co-optimization (DTCO)
for the conventional (Conv.) cell structure is starting to reach its limitations due to limited …

PROBE2. 0: A systematic framework for routability assessment from technology to design in advanced nodes

CK Cheng, AB Kahng, H Kim, M Kim… - … on Computer-Aided …, 2021 - ieeexplore.ieee.org
In advanced nodes, scaling of critical dimension and pitch has not progressed at historical
Moore's Law rates. Thus, scaling boosters are explored to improve achievable power …

Standard cell library design and optimization methodology for ASAP7 PDK

X Xu, N Shah, A Evans, S Sinha… - 2017 IEEE/ACM …, 2017 - ieeexplore.ieee.org
Standard cell libraries are the foundation for the entire back-end design and optimization
flow in modern application-specific integrated circuit designs. At 7nm technology node and …

SP&R: SMT-based simultaneous Place-and-Route for standard cell synthesis of advanced nodes

D Lee, D Park, CT Ho, I Kang, H Kim… - … on Computer-Aided …, 2020 - ieeexplore.ieee.org
In this article, we propose an automated standard cell synthesis framework, SP&R, which
simultaneously solves P&R without deploying any sequential/separate operations, by a …

The tao of PAO: Anatomy of a pin access oracle for detailed routing

AB Kahng, L Wang, B Xu - 2020 57th ACM/IEEE design …, 2020 - ieeexplore.ieee.org
Pin accessibility has been widely studied, particularly in recent works that span detailed
placement optimization, standard cell layout optimization and new design rule-aware access …

SP&R: Simultaneous Placement and Routing framework for standard cell synthesis in sub-7nm

D Park, D Lee, I Kang, S Gao, B Lin… - 2020 25th Asia and …, 2020 - ieeexplore.ieee.org
Standard cell synthesis requires careful engineering approaches to ensure routability across
various digital IC designs since physical design (PD) for sub-7nm technology nodes …

Grid-based framework for routability analysis and diagnosis with conditional design rules

D Park, D Lee, I Kang, C Holtz, S Gao… - … on Computer-Aided …, 2020 - ieeexplore.ieee.org
Pin accessibility encounters nontrivial challenges due to the smaller number of routing
tracks, higher pin density, and more complex design rules. Consequently, securing design …

A routability-driven complimentary-FET (CFET) standard cell synthesis framework using SMT

CK Cheng, CT Ho, D Lee, D Park - Proceedings of the 39th International …, 2020 - dl.acm.org
As the technology node is evolving, standard cell (SDC) design scaling is obstructed by
design constraints such as limited routing resources, lateral PN separation, and …