Blacksmith: Scalable rowhammering in the frequency domain

P Jattke, V Van Der Veen, P Frigo… - … IEEE Symposium on …, 2022 - ieeexplore.ieee.org
We present the new class of non-uniform Rowhammer access patterns that bypass
undocumented, proprietary in-DRAM Target Row Refresh (TRR) while operating in a …

Rowpress: Amplifying read disturbance in modern dram chips

H Luo, A Olgun, AG Yağlıkçı, YC Tuğrul… - Proceedings of the 50th …, 2023 - dl.acm.org
Memory isolation is critical for system reliability, security, and safety. Unfortunately, read
disturbance can break memory isolation in modern DRAM chips. For example, RowHammer …

Uncovering in-dram rowhammer protection mechanisms: A new methodology, custom rowhammer patterns, and implications

H Hassan, YC Tugrul, JS Kim, V Van der Veen… - MICRO-54: 54th Annual …, 2021 - dl.acm.org
The RowHammer vulnerability in DRAM is a critical threat to system security. To protect
against RowHammer, vendors commit to security-through-obscurity: modern DRAM chips …

Blockhammer: Preventing rowhammer at low cost by blacklisting rapidly-accessed dram rows

AG Yağlikçi, M Patel, JS Kim, R Azizi… - … Symposium on High …, 2021 - ieeexplore.ieee.org
Aggressive memory density scaling causes modern DRAM devices to suffer from
RowHammer, a phenomenon where rapidly activating (ie, hammering) a DRAM row can …

A deeper look into rowhammer's sensitivities: Experimental analysis of real dram chips and implications on future attacks and defenses

L Orosa, AG Yaglikci, H Luo, A Olgun, J Park… - MICRO-54: 54th Annual …, 2021 - dl.acm.org
RowHammer is a circuit-level DRAM vulnerability where repeatedly accessing (ie,
hammering) a DRAM row can cause bit flips in physically nearby rows. The RowHammer …

Randomized row-swap: Mitigating row hammer by breaking spatial correlation between aggressor and victim rows

G Saileshwar, B Wang, M Qureshi, PJ Nair - Proceedings of the 27th …, 2022 - dl.acm.org
Row Hammer is a fault-injection attack in which rapid activations to a single DRAM row
causes bit-flips in nearby rows. Several recent defenses propose tracking aggressor-rows …

Scalable memory protection in the {PENGLAI} enclave

E Feng, X Lu, D Du, B Yang, X Jiang, Y Xia… - … on Operating Systems …, 2021 - usenix.org
Secure hardware enclaves have been widely used for protecting security-critical
applications in the cloud. However, existing enclave designs fail to meet the requirements of …

Shadow: Preventing row hammer in dram with intra-subarray row shuffling

M Wi, J Park, S Ko, MJ Kim, NS Kim… - … Symposium on High …, 2023 - ieeexplore.ieee.org
As Row Hammer (RH) attacks have been a critical threat to computer systems, numerous
hardware-based (HWbased) RH mitigation strategies have been proposed. However, the …

Protrr: Principled yet optimal in-dram target row refresh

M Marazzi, P Jattke, F Solt… - 2022 IEEE Symposium on …, 2022 - ieeexplore.ieee.org
The DRAM substrate is becoming increasingly more vulnerable to Rowhammer as we move
to smaller technology nodes. We introduce ProTRR, the first principled in-DRAM Target Row …

Understanding rowhammer under reduced wordline voltage: An experimental study using real dram devices

AG Yağlıkçı, H Luo, GF De Oliviera… - 2022 52nd Annual …, 2022 - ieeexplore.ieee.org
RowHammer is a circuit-level DRAM vulnerability, where repeatedly activating and
precharging a DRAM row, and thus alternating the voltage of a row's wordline between low …