Transient-Execution Attacks: A Computer Architect Perspective

L Fiolhais, L Sousa - ACM Computing Surveys, 2023 - dl.acm.org
Computer architects employ a series of performance optimizations at the micro-architecture
level. These optimizations are meant to be invisible to the programmer but they are implicitly …

Register file prefetching

S Shukla, S Bandishte, J Gaur… - Proceedings of the 49th …, 2022 - dl.acm.org
The memory wall continues to limit the performance of modern out-of-order (OOO)
processors, despite the expensive provisioning of large multi-level caches and …

Leveraging targeted value prediction to unlock new hardware strength reduction potential

A Perais - MICRO-54: 54th Annual IEEE/ACM International …, 2021 - dl.acm.org
Value Prediction (VP) is a microarchitectural technique that speculatively breaks data
dependencies to increase the available Instruction Level Parallelism (ILP) in general …

Focused Value Prediction: Concepts, techniques and implementations presented in this paper are subject matter of pending patent applications, which have been …

S Bandishte, J Gaur, Z Sperber… - 2020 ACM/IEEE 47th …, 2020 - ieeexplore.ieee.org
Value Prediction was proposed to speculatively break true data dependencies, thereby
allowing Out of Order (OOO) processors to achieve higher instruction level parallelism (ILP) …

New predictor-based attacks in processors

S Deng, J Szefer - 2021 58th ACM/IEEE Design Automation …, 2021 - ieeexplore.ieee.org
The microarchitectural state held by predictors in modern processors can leak sensitive
information. This is the first work to analyze the security of a special type of predictor, the …

Calipers: a criticality-aware framework for modeling processor performance

H Golestani, R Sen, V Young, G Gupta - Proceedings of the 36th ACM …, 2022 - dl.acm.org
Computer architecture design space is vast and complex. Tools are needed to explore new
ideas and gain insights quickly, at low effort and desired accuracy. Cycle Accurate …

Constable: Improving Performance and Power Efficiency by Safely Eliminating Load Instruction Execution

R Bera, A Ranganathan, J Rakshit, S Mahto… - arXiv preprint arXiv …, 2024 - arxiv.org
Load instructions often limit instruction-level parallelism (ILP) in modern processors due to
data and resource dependences they cause. Prior techniques like Load Value Prediction …

[PDF][PDF] 处理器值预测技术研究

黄立波, 杨凌, 杨乾明, 马胜, 王永文, 隋兵才, 沈立… - 电子学报, 2023 - ejournal.org.cn
当今的处理器性能与存储器带宽和延迟严重失衡的问题限制了计算系统的整体性能,
而存储器的性能对制程工艺不敏感, 在后摩尔时代下很难再通过集成电路制造工艺的迭代获得 …

Evaluation and Benefit of Imprecise Value Prediction for Certain Types of Instructions

U Radenković, M Mićović, Z Radivojević - Electronics, 2023 - mdpi.com
Based on branch prediction, value prediction has emerged as a solution for problems
caused by true data dependencies in pipelined processors. While branch predictors have …

Value speculation through equality prediction

K Kalaitzidis, A Seznec - 2019 IEEE 37th International …, 2019 - ieeexplore.ieee.org
Modern context-based value predictors tightly associate recurring values with instructions
and contexts by building confidence upon them. However, when execution monotony exists …