A review of recent research on heat transfer in three-dimensional integrated circuits (3-D ICs)

SS Salvi, A Jain - IEEE Transactions on Components …, 2021 - ieeexplore.ieee.org
Three-dimensional integrated circuits (3-D IC) technology has emerged in the past few
decades, driven in part by the techno-economic difficulties of dimensional scaling and the …

Greencool: An energy-efficient liquid cooling design technique for 3-d mpsocs via channel width modulation

MM Sabry, A Sridhar, J Meng… - … on Computer-Aided …, 2013 - ieeexplore.ieee.org
Liquid cooling using interlayer microchannels has appeared as a viable and scalable
packaging technology for 3-D multiprocessor system-on-chips (MPSoCs). Microchannel …

System-level thermal-aware design of 3D multiprocessors with inter-tier liquid cooling

A Sridhar, MM Sabry, D Atienza - 2011 17th International …, 2011 - ieeexplore.ieee.org
Rising chip temperatures and aggravated thermal reliability issues have characterized the
emergence of 3D multiprocessor system-on-chips (3D-MPSoCs), necessitating the …

Optimized micro-channel design for stacked 3-D-ICs

B Shi, A Srivastava - … on Computer-Aided Design of Integrated …, 2013 - ieeexplore.ieee.org
The three dimensional circuit (3-D-IC) achieves high performance by stacking several layers
of active electronic components vertically. Despite its impact on performance improvement, 3 …

Optimization of the Solid-State Copper Brazing Condition Using Desirability Function and Genetic Algorithm

P Jattakul, T Mhoraksa, K Kanlayasiri - Arabian Journal for Science and …, 2024 - Springer
This research studies on optimization of solid-state copper brazing condition and
comparatively investigates the ability of the desirability function and genetic algorithm (GA) …

STREAM: Stress and thermal aware reliability management for 3-D ICs

H Wang, D Huang, R Liu, C Zhang… - IEEE Transactions on …, 2018 - ieeexplore.ieee.org
Accurate and fast reliability management is important for 3-D integrated circuits (3-D ICs)
because of the severe on-chip thermal and reliability problems. However, due to the lack of …

Power-thermal modeling and control of energy-efficient servers and datacenters

J Kim, MM Sabry, M Ruggiero, D Atienza - Handbook on data centers, 2015 - Springer
Power-Thermal Modeling and Control of Energy-Efficient Servers and Datacenters |
SpringerLink Skip to main content Advertisement SpringerLink Account Menu Find a journal …

[图书][B] The art of software thermal management for embedded systems

M Benson - 2014 - Springer
Thermal performance is the new bottleneck in embedded systems design. As processing
requirements increase, and physical device sizes continue to decrease, it is becoming more …

Self-convectional three-dimensional integrated circuit cooling system using micro flat heat pipe for portable devices

N Kim, S Kim - Heat transfer engineering, 2014 - Taylor & Francis
A self-convectional three-dimensional integrated circuit (3D IC) cooling system using micro
flat heat pipes (MFHP) was proposed and the electrothermal behavior of the proposed …

Temperature-aware design and management for 3D multi-core architectures

MM Sabry, D Atienza - Foundations and Trends® in …, 2014 - nowpublishers.com
Vertically-integrated 3D multiprocessors systems-on-chip (3D MPSoCs) provide the means
to continue integrating more functionality within a unit area while enhancing manufacturing …