3D-ICE: A compact thermal model for early-stage design of liquid-cooled ICs

A Sridhar, A Vincenzi, D Atienza… - IEEE Transactions on …, 2013 - ieeexplore.ieee.org
Liquid-cooling using microchannel heat sinks etched on silicon dies is seen as a promising
solution to the rising heat fluxes in two-dimensional and stacked three-dimensional …

Energy-efficient multiobjective thermal control for liquid-cooled 3-D stacked architectures

MM Sabry, AK Coskun, D Atienza… - … on Computer-Aided …, 2011 - ieeexplore.ieee.org
3-D stacked systems reduce communication delay in multiprocessor system-on-chips
(MPSoCs) and enable heterogeneous integration of cores, memories, sensors, and RF …

System-level thermal-aware design of 3D multiprocessors with inter-tier liquid cooling

A Sridhar, MM Sabry, D Atienza - 2011 17th International …, 2011 - ieeexplore.ieee.org
Rising chip temperatures and aggravated thermal reliability issues have characterized the
emergence of 3D multiprocessor system-on-chips (3D-MPSoCs), necessitating the …

Towards thermally-aware design of 3D MPSoCs with inter-tier cooling

MM Sabry, A Sridhar, D Atienza, Y Temiz… - … , Automation & Test …, 2011 - ieeexplore.ieee.org
New tendencies envisage 3D Multi-Processor System-On-Chip (MPSoC) design as a
promising solution to keep increasing the performance of the next-generation high …

Hierarchical thermal management policy for high-performance 3D systems with liquid cooling

F Zanini, MM Sabry, D Atienza… - IEEE Journal on …, 2011 - ieeexplore.ieee.org
Three-dimensional (3D) integrated circuits and systems are expected to be present in
electronic products in the short term. We consider specifically 3D multi-processor systems …

Online thermal control methods for multiprocessor systems

F Zanini, D Atienza, CN Jones, L Benini… - ACM Transactions on …, 2013 - dl.acm.org
With technological advances, the number of cores integrated on a chip is increasing. This in
turn is leading to thermal constraints and thermal design challenges. Temperature gradients …

An efficient channel clustering and flow rate allocation algorithm for non-uniform microfluidic cooling of 3D integrated circuits

H Qian, CH Chang, H Yu - Integration, 2013 - Elsevier
Heat removal problem has been a bane of three dimensional integrated circuits (3DICs).
Comparing with other passive cooling techniques, microfluidic cooling appears to be an …

Attaining single-chip, high-performance computing through 3D systems with active cooling

A Coskun, D Atienza, M Sabry, J Meng - IEEE Micro, 2011 - ieeexplore.ieee.org
This article explores the benefits and the challenges of 3D design and discusses novel
techniques to integrate predictive cooling control with chip-level thermal-management …

Thermal analysis and active cooling management for 3D MPSoCs

MM Sabryz, D Atienzaz… - 2011 IEEE International …, 2011 - ieeexplore.ieee.org
3D stacked architectures reduce communication delay in multiprocessor system-on-chips
(MPSoCs) and allowing more functionality per unit area. However, vertical integration of …

A combined sensor placement and convex optimization approach for thermal management in 3D-MPSoC with liquid cooling

F Zanini, D Atienza, G De Micheli - Integration, 2013 - Elsevier
Modern high-performance processors employ thermal management systems, which rely on
accurate readings of on-die thermal sensors. Systematic tools for analysis and determination …