Recent advances in EM and BTI induced reliability modeling, analysis and optimization

SXD Tan, H Amrouch, T Kim, Z Sun, C Cook, J Henkel - Integration, 2018 - Elsevier
In this article, we will present recent advances in reliability effects such as electromigration
on interconnects and Negative/Positive Bias Temperature Instability (N/P BTI) effects on …

Research on the Reliability of Advanced Packaging under Multi-Field Coupling: A Review

Y Wang, H Liu, L Huo, H Li, W Tian, H Ji, S Chen - Micromachines, 2024 - mdpi.com
With the advancement of Moore's Law reaching its limits, advanced packaging technologies
represented by Flip Chip (FC), Wafer-Level Packaging (WLP), System in Package (SiP), and …

EMGraph: Fast learning-based electromigration analysis for multi-segment interconnect using graph convolution networks

W Jin, L Chen, S Sadiqbatcha, S Peng… - 2021 58th ACM/IEEE …, 2021 - ieeexplore.ieee.org
Electromigration (EM) becomes a major concern for VLSI circuits as the technology
advances in the nanometer regime. With Korhonen equations, EM assessment for VLSI …

Em-gan: Data-driven fast stress analysis for multi-segment interconnects

W Jin, S Sadiqbatcha, Z Sun, H Zhou… - 2020 IEEE 38th …, 2020 - ieeexplore.ieee.org
Electromigration (EM) analysis for complicated interconnects requires the solving of partial
differential equations, which is expensive. In this paper, we propose a fast transient …

Recent progress in physics-based modeling of electromigration in integrated circuit interconnects

WS Zhao, R Zhang, DW Wang - Micromachines, 2022 - mdpi.com
The advance of semiconductor technology not only enables integrated circuits with higher
density and better performance but also increases their vulnerability to various aging …

Accelerating electromigration aging: Fast failure detection for nanometer ICs

S Sadiqbatcha, Z Sun, SXD Tan - IEEE Transactions on …, 2019 - ieeexplore.ieee.org
For practical testing and detection of electromigration (EM) induced failures in dual
damascene copper interconnects, one critical issue is creating stressing conditions to …

Linear time electromigration analysis based on physics-informed sparse regression

L Chen, W Jin, M Kavousi… - IEEE Transactions on …, 2023 - ieeexplore.ieee.org
In this work, we propose a novel physics-informed sparse regression (PISR) framework to
solve stress evolution (described by Korhonen's equations) in general multisegment wires …

PostPINN-EM: Fast Post-Voiding Electromigration Analysis Using Two-Stage Physics-Informed Neural Networks

S Lamichhane, W Jin, L Chen… - 2023 IEEE/ACM …, 2023 - ieeexplore.ieee.org
In this paper, we propose a novel machine learning-based approach, called PostPInn-Em,
for solving the partial differential equations for stress evolution in a confined metal …

Recovery-aware proactive TSV repair for electromigration lifetime enhancement in 3-D ICs

S Wang, T Kim, Z Sun, SXD Tan… - IEEE Transactions on …, 2017 - ieeexplore.ieee.org
Electromigration (EM) becomes a major reliability concern in 3-D integrated circuits (3-D
ICs). To mitigate this problem, a typical solution is to use through-silicon via (TSV) …

[PDF][PDF] 通过主动加速恢复延长芯片寿命: 机遇与挑战

郭鑫斐 - 电子与信息学报, 2023 - jeit.ac.cn
新型工艺下芯片集成度的提高和尺寸的缩小导致了器件内部电场和电流密度的不断增加,
使得老化问题日趋严重, 当前针对老化主要的防护思路依然是采取保护带和预留时序裕量的方式 …