Engineering change order for combinational and sequential design rectification

JHR Jiang, VN Kravets, NZ Lee - 2020 Design, Automation & …, 2020 - ieeexplore.ieee.org
Engineering change order (ECO) becomes a crucial element in VLSI design flow to rectify
function or fix non-functional requirements in late design stages. Even though commercial …

Match and replace: A functional ECO engine for multierror circuit rectification

SL Huang, WH Lin, PK Huang… - IEEE Transactions on …, 2013 - ieeexplore.ieee.org
Functional engineering change order (ECO) is a popular technique for rectifying design
errors after synthesis and placement stages. We present a new approach to generating the …

TRECO: Dynamic technology remapping for timing engineering change orders

KH Ho, JHR Jiang, YW Chang - IEEE Transactions on …, 2012 - ieeexplore.ieee.org
Due to increasing integrated circuit design complexity, engineering change orders (ECOs)
have become a necessary technique to resolve late-found functional errors and/or …

ECO timing optimization using spare cells and technology remapping

KH Ho, YP Chen, JW Fang… - IEEE Transactions on …, 2010 - ieeexplore.ieee.org
We introduce in this paper a new problem of post-mask engineering change order (ECO)
timing optimization using spare-cell rewiring and present a two-phase framework for this …

Timing ECO optimization via Bézier curve smoothing and fixability identification

HY Chang, IHR Jiang, YW Chang - IEEE Transactions on …, 2012 - ieeexplore.ieee.org
Due to the rapidly increasing design complexity in modern integrated circuit design, more
and more timing failures are detected at late stages. Without deferring time-to-market, metal …

ECOS: Stable matching based metal-only ECO synthesis

IHR Jiang, HY Chang - IEEE transactions on very large scale …, 2011 - ieeexplore.ieee.org
To ease the time-to-market pressure and save the photomask cost, metal-only ECO realizes
the last-minute design changes by revising the photomasks of metal layers only. This task is …

Simultaneous functional and timing ECO

HY Chang, IHR Jiang, YW Chang - Proceedings of the 48th Design …, 2011 - dl.acm.org
Metal-only ECO is prevalent at design houses to perform incremental design changes to
resolve last found functional and/or timing failures. However, it is hard to perform mixed …

Redundant-wires-aware eco timing and mask cost optimization

SY Fang, TF Chien, YW Chang - 2010 IEEE/ACM International …, 2010 - ieeexplore.ieee.org
Spare cells are often used in engineering change order (ECO) timing optimization. By
applying spare-cell rewiring techniques, timing-violated paths in a design can be fixed. In …

Sequential engineering change order under retiming and resynthesis

NZ Lee, VN Kravets, JHR Jiang - 2017 IEEE/ACM International …, 2017 - ieeexplore.ieee.org
Engineering change order (ECO) is pivotal in rectifying late design changes that occur
commonly due to ever-increasing system complexity. Existing functional ECO methods focus …

A robust ECO engine by resource-constraint-aware technology mapping and incremental routing optimization

SL Huang, CA Wu, KF Tang, CH Hsu… - 16th Asia and South …, 2011 - ieeexplore.ieee.org
ECO re-mapping is a key step in functional ECO tools. It implements a given patch function
on a layout database with a limited spare cell resource. Previous ECO re-mapping …