CrossLight: A cross-layer optimized silicon photonic neural network accelerator

F Sunny, A Mirza, M Nikdast… - 2021 58th ACM/IEEE …, 2021 - ieeexplore.ieee.org
Domain-specific neural network accelerators have seen growing interest in recent years due
to their improved energy efficiency and performance compared to CPUs and GPUs. In this …

Robin: A robust optical binary neural network accelerator

FP Sunny, A Mirza, M Nikdast, S Pasricha - ACM Transactions on …, 2021 - dl.acm.org
Domain specific neural network accelerators have garnered attention because of their
improved energy efficiency and inference performance compared to CPUs and GPUs. Such …

Crosstalk noise in WDM-based optical networks-on-chip: A formal study and comparison

M Nikdast, J Xu, LHK Duong, X Wu… - … Transactions on Very …, 2014 - ieeexplore.ieee.org
Optical networks-on-chip (ONoCs) using wavelength-division multiplexing (WDM)
technology have progressively attracted more and more attention for their use in tackling the …

Crosstalk penalty in microring-based silicon photonic interconnect systems

M Bahadori, S Rumley, H Jayatilleka… - Journal of Lightwave …, 2016 - opg.optica.org
We examine inter-channel and intra-channel crosstalk power penalties between non-return-
to-zero on-off keying (NRZ-OOK) wavelength-division-multiplexing (WDM) channels for …

HYDRA: Heterodyne crosstalk mitigation with double microring resonators and data encoding for photonic NoCs

SVR Chittamuru, IG Thakkar… - IEEE Transactions on …, 2017 - ieeexplore.ieee.org
Silicon-photonic networks on chip (PNoCs) provide high bandwidth with lower data-
dependent power dissipation than does the traditional electrical NoCs (ENoCs); therefore …

Crosstalk mitigation for high-radix and low-diameter photonic NoC architectures

SVR Chittamuru, S Pasricha - IEEE Design & Test, 2015 - ieeexplore.ieee.org
Photonic Network-on-chip (PNoC) is a promising alternative to design low-power and high-
bandwidth interconnection infrastructure for multicore chips. The micro ring resonators …

PICO: Mitigating heterodyne crosstalk due to process variations and intermodulation effects in photonic NoCs

SVR Chittamuru, IG Thakkar, S Pasricha - Proceedings of the 53rd …, 2016 - dl.acm.org
Photonic networks-on-chip (PNoCs) employ photonic waveguides with dense-wavelength-
division-multiplexing (DWDM) for signal traversal and microring resonators (MRs) for signal …

Terahertz band intra-chip communications: Can wireless links scale modern x86 CPUs?

V Petrov, D Moltchanov, M Komar, A Antonov… - IEEE …, 2017 - ieeexplore.ieee.org
Massive multi-core processing has recently attracted significant attention from the research
community as one of the feasible solutions to satisfy constantly growing performance …

Coherent and incoherent crosstalk noise analyses in interchip/intrachip optical interconnection networks

LHK Duong, Z Wang, M Nikdast, J Xu… - … Transactions on Very …, 2016 - ieeexplore.ieee.org
Recently, interchip/intrachip optical interconnection networks have been proposed for
ultrahigh-bandwidth and low-latency communications. These networks employ the …

BiGNoC: Accelerating big data computing with application-specific photonic network-on-chip architectures

SVR Chittamuru, D Dang, S Pasricha… - IEEE Transactions on …, 2018 - ieeexplore.ieee.org
In the era of big data, high performance data analytics applications are frequently executed
on large-scale cluster architectures to accomplish massive data-parallel computations …