敏捷设计中基于机器学习的静态时序分析方法综述

贺旭, 王耀, 傅智勇, 李暾, 屈婉霞, 万海… - 计算机辅助设计与图形学 …, 2023 - jcad.cn
随着集成电路规模越来越大, 设计变得越来越复杂. 为了有效地提升设计生产率,
芯片敏捷设计受到越来越广泛的重视. 在芯片RTL-to-GDSII 设计流程中, 敏捷设计方法需要广泛 …

Accurate timing prediction at placement stage with look-ahead rc network

X He, Z Fu, Y Wang, C Liu, Y Guo - Proceedings of the 59th ACM/IEEE …, 2022 - dl.acm.org
Timing closure is a critical but effort-taking task in VLSI designs. In placement stage, a fast
and accurate net delay estimator is highly desirable to guide the timing optimization prior to …

Pre-routing path delay estimation based on transformer and residual framework

T Yang, G He, P Cao - 2022 27th Asia and South Pacific …, 2022 - ieeexplore.ieee.org
Timing estimation prior to routing is of vital importance for optimization at placement stage
and timing closure. Existing wire-or net-oriented learning-based methods limits the accuracy …

From global route to detailed route: ML for fast and accurate wire parasitics and timing prediction

VA Chhabria, W Jiang, AB Kahng… - Proceedings of the 2022 …, 2022 - dl.acm.org
Timing prediction and optimization are challenging in design stages prior to detailed routing
(DR) due to the unavailability of routing information. Inaccurate timing prediction wastes …

Fast and accurate wire timing estimation based on graph learning

Y Ye, T Chen, Y Gao, H Yan, B Yu… - 2023 Design, Automation …, 2023 - ieeexplore.ieee.org
Accurate wire timing estimation has become a bottleneck in timing optimization since it
needs a long turn-around time using a sign-off timer. The gate timing can be calculated …

GraPhSyM: Graph Physical Synthesis Model

A Agiza, R Roy, TD Ene, S Godil… - 2023 IEEE/ACM …, 2023 - ieeexplore.ieee.org
In this work, we introduce GraPhSyM, a Graph Attention Network (GATv2) model for fast and
accurate estimation of post-physical synthesis circuit delay and area metrics from pre …

A machine learning approach to improving timing consistency between global route and detailed route

VA Chhabria, W Jiang, AB Kahng… - ACM Transactions on …, 2023 - dl.acm.org
Due to the unavailability of routing information in design stages prior to detailed routing
(DR), the tasks of timing prediction and optimization pose major challenges. Inaccurate …

Leveraging machine learning for gate-level timing estimation using current source models and effective capacitance

D Garyfallou, A Vagenas, C Antoniadis… - Proceedings of the …, 2022 - dl.acm.org
With process technology scaling, accurate gate-level timing analysis becomes even more
challenging. Highly resistive on-chip interconnects have an ever-increasing impact on …

Tf-predictor: Transformer-based prerouting path delay prediction framework

P Cao, G He, T Yang - … on Computer-Aided Design of Integrated …, 2022 - ieeexplore.ieee.org
Timing mismatch between different stages of physical design poses great challenges for
circuit optimization to achieve the desired performance, power, and area (PPA) tradeoff. The …

A Novel Delay Calibration Method Considering Interaction between Cells and Wires

L Jin, J Xu, W Fu, H Yan, X Shi… - … Design, Automation & …, 2023 - ieeexplore.ieee.org
In the advanced technology, the accuracy of cell and wire delay modeling are the key
metrics for timing analysis. However, when the supply voltage decreases to the near …