TSV-based 3-D ICs: Design methods and tools

T Lu, C Serafy, Z Yang, SK Samal… - … on Computer-Aided …, 2017 - ieeexplore.ieee.org
Vertically integrated circuits (3-D ICs) may revitalize Moore's law scaling which has slowed
down in recent years. 3-D stacking is an emerging technology that stacks multiple dies …

Physical design automation for 3D chip stacks: challenges and solutions

J Knechtel, J Lienig - Proceedings of the 2016 on International …, 2016 - dl.acm.org
The concept of 3D chip stacks has been advocated by both industry and academia for many
years, and hailed as one of the most promising approaches to meet ever-increasing …

A mismatch-insensitive skew compensation architecture for clock synchronization in 3-D ICs

TS Sandhu, K El-Sankary - IEEE Transactions on very Large …, 2015 - ieeexplore.ieee.org
Traditional die-to-die (DTD) clock skew compensation topologies prerequisite matched
delay lines or equal through-silicon via (TSV) delays. Unlike previous techniques, the …

Design of a digital IP for 3D-IC die-to-die clock synchronization

M Sadi, S Kannan, L England… - 2017 IEEE International …, 2017 - ieeexplore.ieee.org
In this paper the design of a novel IP for 3D IC die-to-die clock synchronization is presented.
The proposed design offers notable benefits over the conventional dual DLL based …

Alleviating through-silicon-via electromigration for 3-D integrated circuits taking advantage of self-healing effect

Y Cheng, A Todri-Sanial, J Yang… - IEEE Transactions on …, 2016 - ieeexplore.ieee.org
Three-dimensional integration is considered to be a promising technology to tackle the
global interconnect scaling problem for terascale integrated circuits (ICs). Three …

A study of 3-D power delivery networks with multiple clock domains

A Todri-Sanial, Y Cheng - IEEE Transactions on Very Large …, 2016 - ieeexplore.ieee.org
Ongoing advancements in 3-D manufacturing are enabling 3-D ICs to contain several
processing cores, hardware accelerators, and dedicated peripherals. Most of these …

Low-power clock tree synthesis for 3D-ICs

T Lu, A Srivastava - ACM Transactions on Design Automation of …, 2017 - dl.acm.org
We propose efficient algorithms to construct a low-power clock tree for through-silicon-via
(TSV)-based 3D-ICs. We use shutdown gates to save clock trees' dynamic power, which …

Clock Power Reduction Using NDR Routing

S Alure, N Ramavankateswaran, R Buddi… - Proceeding of Fifth …, 2021 - Springer
The recent advancement in nanotechnology over a different scope of industries and an
expanded microelectronics market demand for low power, high performance and complexity …

A novel hybrid delay unit based on dummy TSVs for 3-D on-chip memory

X Chen, SA Pourbakhsh, J Fu, N Gong… - IEEE Transactions on …, 2018 - ieeexplore.ieee.org
Delay units play an important role in bitline design for on-chip memory. Traditional delay
units can be categorized into two types: passive ones and active ones. In this paper, a novel …

7 Design Methodology for TSV-Based 3D Clock Networks

T Kim, H Park - api.taylorfrancis.com
This chapter reviews the state-of-the-art design methodologies for TSV-based 3D clock
networks and covers four ingredients:(1) the synthesis flows of basic 3D clock trees in …