An experimental study of reduced-voltage operation in modern FPGAs for neural network acceleration

B Salami, EB Onural, IE Yuksel, F Koc… - 2020 50th Annual …, 2020 - ieeexplore.ieee.org
We empirically evaluate an undervolting technique, ie, underscaling the circuit supply
voltage below the nominal level, to improve the power-efficiency of Convolutional Neural …

Impact of voltage scaling on soft errors susceptibility of multicore server cpus

D Agiakatsikas, G Papadimitriou, V Karakostas… - Proceedings of the 56th …, 2023 - dl.acm.org
Microprocessor power consumption and dependability are both crucial challenges that
designers have to cope with due to shrinking feature sizes and increasing transistor counts …

Adaptive voltage/frequency scaling and core allocation for balanced energy and performance on multicore cpus

G Papadimitriou, A Chatzidimitriou… - … symposium on high …, 2019 - ieeexplore.ieee.org
Energy efficiency is a known major concern for computing system designers. Significant
effort is devoted to power optimization of modern systems, especially in large-scale …

Exceeding conservative limits: A consolidated analysis on modern hardware margins

G Papadimitriou, A Chatzidimitriou… - … on Device and …, 2020 - ieeexplore.ieee.org
Modern large-scale computing systems (data centers, supercomputers, cloud and edge
setups and high-end cyber-physical systems) employ heterogeneous architectures that …

Modern hardware margins: CPUs, GPUs, FPGAs recent system-level studies

D Gizopoulos, G Papadimitriou… - 2019 IEEE 25th …, 2019 - ieeexplore.ieee.org
Modern large-scale computing systems (data centers, supercomputers, cloud and edge
setups and high-end cyber-physical systems) employ heterogeneous architectures that …

Suit: Secure undervolting with instruction traps

J Juffinger, S Kalinin, D Gruss, F Mueller - Proceedings of the 29th ACM …, 2024 - dl.acm.org
Modern CPUs dynamically scale voltage and frequency for efficiency. However, too low
voltages can result in security-critical errors. Hence, vendors use a generous safety margin …

Assessing the effects of low voltage in branch prediction units

A Chatzidimitriou, G Papadimitriou… - … Analysis of Systems …, 2019 - ieeexplore.ieee.org
Branch prediction units are key performance components in modern microprocessors as
they are widely used to address control hazards and minimize misprediction stalls. The …

Micro-viruses for fast system-level voltage margins characterization in multicore CPUs

G Papadimitriou, A Chatzidimitriou… - … Analysis of Systems …, 2018 - ieeexplore.ieee.org
In this paper, we propose the employment of fast targeted programs (diagnostic micro-
viruses) that aim to stress individually the main hardware components of a multicore CPU …

Using undervolting as an on-device defense against adversarial machine learning attacks

S Majumdar, MH Samavatian, K Barber… - … Security and Trust …, 2021 - ieeexplore.ieee.org
Deep neural network (DNN) classifiers are powerful tools that drive a broad spectrum of
important applications, from image recognition to autonomous vehicles. Unfortunately …

Healthlog monitor: Errors, symptoms and reactions consolidated

A Chatzidimitriou, G Papadimitriou… - IEEE Transactions on …, 2019 - ieeexplore.ieee.org
Advances in reliability research have presented novel techniques for early identification of
upcoming failures (both long-term and short-term) as well as sophisticated isolation and …