[HTML][HTML] Recent progress in physics-based modeling of electromigration in integrated circuit interconnects

WS Zhao, R Zhang, DW Wang - Micromachines, 2022 - mdpi.com
The advance of semiconductor technology not only enables integrated circuits with higher
density and better performance but also increases their vulnerability to various aging …

EMSpice: Physics-based electromigration check using coupled electronic and stress simulation

Z Sun, S Yu, H Zhou, Y Liu… - IEEE Transactions on …, 2020 - ieeexplore.ieee.org
In this article, a novel full-chip EM simulation tool, called EMSpice simulator is proposed.
The new method starts from first principles and simultaneously considers two major …

EMGraph: Fast learning-based electromigration analysis for multi-segment interconnect using graph convolution networks

W Jin, L Chen, S Sadiqbatcha, S Peng… - 2021 58th ACM/IEEE …, 2021 - ieeexplore.ieee.org
Electromigration (EM) becomes a major concern for VLSI circuits as the technology
advances in the nanometer regime. With Korhonen equations, EM assessment for VLSI …

Real-time full-chip thermal tracking: A post-silicon, machine learning perspective

S Sadiqbatcha, J Zhang, H Amrouch… - IEEE Transactions on …, 2021 - ieeexplore.ieee.org
In this article, we present a novel approach to real-time tracking of full-chip heatmaps for
commercial off-the-shelf microprocessors based on machine-learning. The proposed post …

Em-gan: Data-driven fast stress analysis for multi-segment interconnects

W Jin, S Sadiqbatcha, Z Sun, H Zhou… - 2020 IEEE 38th …, 2020 - ieeexplore.ieee.org
Electromigration (EM) analysis for complicated interconnects requires the solving of partial
differential equations, which is expensive. In this paper, we propose a fast transient …

Fast analytic electromigration analysis for general multisegment interconnect wires

L Chen, SXD Tan, Z Sun, S Peng… - IEEE Transactions on …, 2019 - ieeexplore.ieee.org
Electromigration (EM) is considered to be one of the most important reliability issues for
current and future ICs in 10-nm technology and below. In this article, we propose a fast …

Hot-spot aware thermoelectric array based cooling for multicore processors

J Zhang, S Sadiqbatcha, L Chen, C Thi, S Sachdeva… - Integration, 2023 - Elsevier
In this paper, we propose a hot-spot aware Thermoelectric Cooler (TEC)-based active
cooling technique, called TEC-Array, which can perform targeted cooling of the spatially and …

A fast semi-analytic approach for combined electromigration and thermomigration analysis for general multisegment interconnects

L Chen, SXD Tan, Z Sun, S Peng… - IEEE Transactions on …, 2020 - ieeexplore.ieee.org
Considering temperature gradient or thermomigration (TM) impacts on electromigration (EM)
due to Joule heating was less studied in the past. In this article, we propose a new semi …

Hot-Trim: Thermal and Reliability Management for Commercial Multicore Processors Considering Workload Dependent Hot Spots

J Zhang, S Sadiqbatcha… - IEEE Transactions on …, 2022 - ieeexplore.ieee.org
This work proposes a new dynamic thermal and reliability management framework via task
mapping and migration to improve thermal performance and reliability of commercial …

Accelerating electromigration aging: Fast failure detection for nanometer ICs

S Sadiqbatcha, Z Sun, SXD Tan - IEEE Transactions on …, 2019 - ieeexplore.ieee.org
For practical testing and detection of electromigration (EM) induced failures in dual
damascene copper interconnects, one critical issue is creating stressing conditions to …