A study of the effectiveness of case study approach in software engineering education

K Garg, V Varma - 20th Conference on Software Engineering …, 2007 - ieeexplore.ieee.org
Software engineering (SE) educators have been advocating the use of non-conventional
approaches for SE education since long. In this context, we conducted action-research to …

Bespoke processors for applications with ultra-low area and power constraints

H Cherupalli, H Duwe, W Ye, R Kumar… - Proceedings of the 44th …, 2017 - dl.acm.org
A large number of emerging applications such as implantables, wearables, printed
electronics, and IoT have ultra-low area and power constraints. These applications rely on …

Geyser-1: A MIPS R3000 CPU core with fine grain runtime power gating

D Ikebuchi, N Seki, Y Kojima, M Kamata… - 2009 IEEE Asian …, 2009 - ieeexplore.ieee.org
Geyser-1, a prototype MIPS R3000 CPU with fine grain runtime PG for major computational
components in the execution stage is available. Function units such as CLU, shifter …

Performance, area, and power evaluations of ultrafine-grained run-time power-gating routers for CMPs

H Matsutani, M Koibuchi, D Ikebuchi… - … on Computer-Aided …, 2011 - ieeexplore.ieee.org
This paper proposes the ultrafine-grained run-time power gating of on-chip routers, in which
the power supply to each router component (eg, virtual-channel buffer, virtual-channel …

Application-guided power gating reducing register file static power

H Tabkhi, G Schirner - IEEE Transactions on Very Large Scale …, 2014 - ieeexplore.ieee.org
Power and energy efficiency are on the top priority list in embedded computing. Embedded
processors taped out in deep submicron technology have a high contribution of static power …

Design and implementation of fine-grain power gating with ground bounce suppression

K Usami, T Shirai, T Hashida, H Masuda… - … Conference on VLSI …, 2009 - ieeexplore.ieee.org
This paper describes a design and implementation methodology for fine-grain power gating.
Since sleep-in and wakeup are controlled in a fine granularity in run time, shortening the …

State-retentive power gating of register files in multicore processors featuring multithreaded in-order cores

S Roy, N Ranganathan… - IEEE Transactions on …, 2010 - ieeexplore.ieee.org
In this work, we investigate state-retentive power gating of register files for leakage reduction
in multicore processors supporting multithreading. In an in-order core, when a thread gets …

Design and evaluation of fine-grained power-gating for embedded microprocessors

M Kondo, H Kobyashi, R Sakamoto… - … , Automation & Test …, 2014 - ieeexplore.ieee.org
Power-performance efficiency is still remaining a primary concern for microprocessor
designers. One of the sources of power inefficiency for recent LSI chips is increasing …

NEMS-based functional unit power-gating: Design, analysis, and optimization

MB Henry, L Nazhandali - … on Circuits and Systems I: Regular …, 2012 - ieeexplore.ieee.org
In order to combat the exponentially growing leakage power in modern microprocessors,
researchers have proposed the use of alternative power-gating structures that can yield …

Panoptic DVS: A fine-grained dynamic voltage scaling framework for energy scalable CMOS design

M Putic, L Di, BH Calhoun… - 2009 IEEE International …, 2009 - ieeexplore.ieee.org
The energy efficiency of a CMOS architecture processing dynamic workloads directly affects
its ability to provide long battery lifetimes while maintaining required application …