Approximate arithmetic circuits: A survey, characterization, and recent applications

H Jiang, FJH Santiago, H Mo, L Liu… - Proceedings of the …, 2020 - ieeexplore.ieee.org
Approximate computing has emerged as a new paradigm for high-performance and energy-
efficient design of circuits and systems. For the many approximate arithmetic circuits …

Approximate computing: An emerging paradigm for energy-efficient design

J Han, M Orshansky - 2013 18th IEEE European Test …, 2013 - ieeexplore.ieee.org
Approximate computing has recently emerged as a promising approach to energy-efficient
design of digital systems. Approximate computing relies on the ability of many systems and …

Low-power digital signal processing using approximate adders

V Gupta, D Mohapatra… - IEEE transactions on …, 2012 - ieeexplore.ieee.org
Low power is an imperative requirement for portable multimedia devices employing various
signal processing algorithms and architectures. In most multimedia applications, human …

A review, classification, and comparative evaluation of approximate arithmetic circuits

H Jiang, C Liu, L Liu, F Lombardi, J Han - ACM Journal on Emerging …, 2017 - dl.acm.org
Often as the most important arithmetic modules in a processor, adders, multipliers, and
dividers determine the performance and energy efficiency of many computing tasks. The …

Trading accuracy for power in a multiplier architecture

P Kulkarni, P Gupta… - Journal of Low Power …, 2011 - ingentaconnect.com
Certain classes of applications are inherently capable of absorbing some error in
computation, which allows for quality to be traded off for power. Such a tradeoff is often …

Accuracy-configurable adder for approximate arithmetic designs

AB Kahng, S Kang - Proceedings of the 49th annual design automation …, 2012 - dl.acm.org
Approximation can increase performance or reduce power consumption with a simplified or
inaccurate circuit in application contexts where strict requirements are relaxed. For …

A low-power, high-performance approximate multiplier with configurable partial error recovery

C Liu, J Han, F Lombardi - 2014 Design, Automation & Test in …, 2014 - ieeexplore.ieee.org
Approximate circuits have been considered for error-tolerant applications that can tolerate
some loss of accuracy with improved performance and energy efficiency. Multipliers are key …

On reconfiguration-oriented approximate adder design and its application

R Ye, T Wang, F Yuan, R Kumar… - 2013 IEEE/ACM …, 2013 - ieeexplore.ieee.org
Approximate circuit designs allow us to tradeoff computation quality (eg, accuracy) and
computational effort (eg, energy), by exploiting the inherent error-resilience of many …

Design and evaluation of approximate logarithmic multipliers for low power error-tolerant applications

W Liu, J Xu, D Wang, C Wang… - … on Circuits and …, 2018 - ieeexplore.ieee.org
In this paper, the designs of both non-iterative and iterative approximate logarithmic
multipliers (ALMs) are studied to further reduce power consumption and improve …

High accuracy approximate multiplier with error correction

CH Lin, C Lin - 2013 IEEE 31st international conference on …, 2013 - ieeexplore.ieee.org
Approximate computing has gained significant attention due to the popularity of multimedia
applications. In this paper, we propose a novel inaccurate 4: 2 counter that can effectively …