The future of FPGA acceleration in datacenters and the cloud

C Bobda, JM Mbongue, P Chow, M Ewais… - ACM Transactions on …, 2022 - dl.acm.org
In this article, we survey existing academic and commercial efforts to provide Field-
Programmable Gate Array (FPGA) acceleration in datacenters and the cloud. The goal is a …

Electrical-level attacks on CPUs, FPGAs, and GPUs: Survey and implications in the heterogeneous era

DG Mahmoud, V Lenders, M Stojilović - ACM Computing Surveys (CSUR …, 2022 - dl.acm.org
Given the need for efficient high-performance computing, computer architectures combining
central processing units (CPUs), graphics processing units (GPUs), and field-programmable …

Trusted configuration in cloud FPGAs

S Zeitouni, J Vliegen, T Frassetto… - 2021 IEEE 29th …, 2021 - ieeexplore.ieee.org
In this paper we tackle the open paradoxical challenge of FPGA-accelerated cloud
computing: On one hand, clients aim to secure their Intellectual Property (IP) by encrypting …

A Visionary Look at the Security of Reconfigurable Cloud Computing

M Stojilović, K Rasmussen, F Regazzoni… - Proceedings of the …, 2023 - ieeexplore.ieee.org
Field-programmable gate arrays (FPGAs) have become critical components in many cloud
computing platforms. These devices possess the fine-grained parallelism and specialization …

Double trouble: Combined heterogeneous attacks on {Non-Inclusive} cache hierarchies

A Purnal, F Turan, I Verbauwhede - 31st USENIX Security Symposium …, 2022 - usenix.org
As the performance of general-purpose processors faces diminishing improvements,
computing systems are increasingly equipped with domain-specific accelerators. Today's …

Microarchitectural attacks in heterogeneous systems: A survey

H Naghibijouybari, EM Koruyeh… - ACM Computing …, 2022 - dl.acm.org
With the increasing proliferation of hardware accelerators and the predicted continued
increase in the heterogeneity of future computing systems, it is necessary to understand the …

New security threats on fpgas: From fpga design tools perspective

S Sunkavilli, Z Zhang, Q Yu - 2021 IEEE Computer Society …, 2021 - ieeexplore.ieee.org
The growing market share of FPGAs motivates the increasing number of attackers to tamper
with FPGA systems. The majority of existing research efforts on FPGA security focus on …

A survey of trusted computing solutions using FPGAS

PD Rosero-Montalvo, Z István, W Hernandez - IEEE Access, 2023 - ieeexplore.ieee.org
Ensuring the security and privacy of computation and data management in the cloud and
edge is an ever-important requirement. There are several working solutions today for trusted …

A survey on fpga cybersecurity design strategies

A Proulx, JY Chouinard, P Fortier, A Miled - ACM Transactions on …, 2023 - dl.acm.org
This article presents a critical literature review on the security aspects of field-programmable
gate array (FPGA) devices. FPGA devices present unique challenges to cybersecurity …

Instruction-level power side-channel leakage evaluation of soft-core CPUs on shared FPGAs

O Glamočanin, S Shrivastava, J Yao, N Ardo… - Journal of Hardware and …, 2023 - Springer
Side-channel disassembly attacks recover CPU instructions from power or electromagnetic
side-channel traces measured during code execution. These attacks typically rely on …