Plundervolt: Software-based fault injection attacks against Intel SGX

K Murdock, D Oswald, FD Garcia… - … IEEE Symposium on …, 2020 - ieeexplore.ieee.org
Dynamic frequency and voltage scaling features have been introduced to manage ever-
growing heat and power consumption in modern processors. Design restrictions ensure …

A modern primer on processing in memory

O Mutlu, S Ghose, J Gómez-Luna… - … computing: from devices …, 2022 - Springer
Modern computing systems are overwhelmingly designed to move data to computation. This
design choice goes directly against at least three key trends in computing that cause …

Fallout: Leaking data on meltdown-resistant cpus

C Canella, D Genkin, L Giner, D Gruss, M Lipp… - Proceedings of the …, 2019 - dl.acm.org
Meltdown and Spectre enable arbitrary data leakage from memory via various side
channels. Short-term software mitigations for Meltdown are only a temporary solution with a …

TRRespass: Exploiting the many sides of target row refresh

P Frigo, E Vannacc, H Hassan… - … IEEE Symposium on …, 2020 - ieeexplore.ieee.org
After a plethora of high-profile RowHammer attacks, CPU and DRAM vendors scrambled to
deliver what was meant to be the definitive hardware solution against the RowHammer …

Timing Side-Channel Attacks and Countermeasures in CPU Microarchitectures

J Zhang, C Chen, J Cui, K Li - ACM Computing Surveys, 2024 - dl.acm.org
Microarchitectural vulnerabilities, such as Meltdown and Spectre, exploit subtle
microarchitecture state to steal the user's secret data and even compromise the operating …

Tbt: Targeted neural network attack with bit trojan

AS Rakin, Z He, D Fan - … of the IEEE/CVF Conference on …, 2020 - openaccess.thecvf.com
Abstract Security of modern Deep Neural Networks (DNNs) is under severe scrutiny as the
deployment of these models become widespread in many intelligence-based applications …

Rowhammer: A retrospective

O Mutlu, JS Kim - … Transactions on Computer-Aided Design of …, 2019 - ieeexplore.ieee.org
This retrospective paper describes the RowHammer problem in dynamic random access
memory (DRAM), which was initially introduced by Kim et al. at the ISCA 2014 Conference …

Deepsteal: Advanced model extractions leveraging efficient weight stealing in memories

AS Rakin, MHI Chowdhuryy, F Yao… - 2022 IEEE symposium …, 2022 - ieeexplore.ieee.org
Recent advancements in Deep Neural Networks (DNNs) have enabled widespread
deployment in multiple security-sensitive domains. The need for resource-intensive training …

Blacksmith: Scalable rowhammering in the frequency domain

P Jattke, V Van Der Veen, P Frigo… - … IEEE Symposium on …, 2022 - ieeexplore.ieee.org
We present the new class of non-uniform Rowhammer access patterns that bypass
undocumented, proprietary in-DRAM Target Row Refresh (TRR) while operating in a …

Rowpress: Amplifying read disturbance in modern dram chips

H Luo, A Olgun, AG Yağlıkçı, YC Tuğrul… - Proceedings of the 50th …, 2023 - dl.acm.org
Memory isolation is critical for system reliability, security, and safety. Unfortunately, read
disturbance can break memory isolation in modern DRAM chips. For example, RowHammer …