ARTEMIS: An aging-aware runtime application mapping framework for 3D NoC-based chip multiprocessors

VY Raparti, N Kapadia… - IEEE Transactions on Multi …, 2017 - ieeexplore.ieee.org
In emerging 3D NoC-based chip multiprocessors (CMPs), aging in circuits due to bias
temperature instability (BTI) stress is expected to cause gate-delay degradation that, if left …

RELAX: Cross-Layer Resource Management for Reliable NoC-Based 2D and 3D Manycore Architectures in The Dark Silicon Era

VY Raparti - 2019 - search.proquest.com
Emerging 2D and 3D chip-multiprocessors (CMPs) are facing numerous challenges due to
technology scaling that impact their reliability, power dissipation, performance, and security …

Design-time and run-time frameworks for multi-objective optimization of 2D and 3D NoC-based multicore computing systems

N Kapadia - 2016 - search.proquest.com
Design-time and run-time frameworks for multi-objective optimization of 2D and 3D NoC-
based multicore computing systems Abstract As a result of semiconductor technology …