Rtlcoder: Outperforming gpt-3.5 in design rtl generation with our open-source dataset and lightweight solution

S Liu, W Fang, Y Lu, Q Zhang… - 2024 IEEE LLM Aided …, 2024 - ieeexplore.ieee.org
The automatic generation of RTL code (eg, Verilog) using natural language instructions and
large language models (LLMs) has attracted significant research interest recently. However …

Llm for soc security: A paradigm shift

D Saha, S Tarek, K Yahyaei, SK Saha, J Zhou… - IEEE …, 2024 - ieeexplore.ieee.org
As the ubiquity and complexity of system-on-chip (SoC) designs increase across electronic
devices, incorporating security into an SoC design flow poses significant challenges …

Llm4eda: Emerging progress in large language models for electronic design automation

R Zhong, X Du, S Kai, Z Tang, S Xu, HL Zhen… - arXiv preprint arXiv …, 2023 - arxiv.org
Driven by Moore's Law, the complexity and scale of modern chip design are increasing
rapidly. Electronic Design Automation (EDA) has been widely applied to address the …

LLMs and the Future of Chip Design: Unveiling Security Risks and Building Trust

Z Wang, L Alrahis, L Mankali, J Knechtel… - 2024 IEEE Computer …, 2024 - ieeexplore.ieee.org
Chip design is about to be revolutionized by the integration of large language, multimodal,
and circuit models (collectively LxMs). While exploring this exciting frontier with tremendous …

Assertllm: Generating and evaluating hardware verification assertions from design specifications via multi-llms

W Fang, M Li, M Li, Z Yan, S Liu, H Zhang… - arXiv preprint arXiv …, 2024 - arxiv.org
Assertion-based verification (ABV) is a critical method for ensuring design circuits comply
with their architectural specifications, which are typically described in natural language. This …

Make every move count: Llm-based high-quality rtl code generation using mcts

M DeLorenzo, AB Chowdhury, V Gohil… - arXiv preprint arXiv …, 2024 - arxiv.org
Existing large language models (LLMs) for register transfer level code generation face
challenges like compilation failures and suboptimal power, performance, and area (PPA) …

Specllm: Exploring generation and review of vlsi design specification with large language model

M Li, W Fang, Q Zhang, Z Xie - arXiv preprint arXiv:2401.13266, 2024 - arxiv.org
The development of architecture specifications is an initial and fundamental stage of the
integrated circuit (IC) design process. Traditionally, architecture specifications are crafted by …

The dawn of ai-native eda: Promises and challenges of large circuit models

L Chen, Y Chen, Z Chu, W Fang, TY Ho… - arXiv preprint arXiv …, 2024 - arxiv.org
Within the Electronic Design Automation (EDA) domain, AI-driven solutions have emerged
as formidable tools, yet they typically augment rather than redefine existing methodologies …

Evolutionary large language models for hardware security: A comparative survey

M Akyash, HM Kamali - Proceedings of the Great Lakes Symposium on …, 2024 - dl.acm.org
Automating hardware (HW) security vulnerability detection and mitigation during the design
phase is imperative for two reasons:(i) It must be before chip fabrication, as post-fabrication …

OriGen: Enhancing RTL Code Generation with Code-to-Code Augmentation and Self-Reflection

F Cui, C Yin, K Zhou, Y Xiao, G Sun, Q Xu… - arXiv preprint arXiv …, 2024 - arxiv.org
Recent studies have illuminated that Large Language Models (LLMs) exhibit substantial
potential in the realm of RTL (Register Transfer Level) code generation, with notable …