Optical wafer defect inspection at the 10 nm technology node and beyond

J Zhu, J Liu, T Xu, S Yuan, Z Zhang… - … Journal of Extreme …, 2022 - iopscience.iop.org
The growing demand for electronic devices, smart devices, and the Internet of Things
constitutes the primary driving force for marching down the path of decreased critical …

Through-focus or volumetric type of optical imaging methods: a review

RK Attota - Journal of biomedical optics, 2018 - spiedigitallibrary.org
In recent years, the use of through-focus (TF) or volumetric type of optical imaging has
gained momentum in several areas such as biological imaging, microscopy, adaptive optics …

Lithography, metrology and nanomanufacturing

JA Liddle, GM Gallatin - Nanoscale, 2011 - pubs.rsc.org
Semiconductor chip manufacturing is by far the predominant nanomanufacturing technology
in the world today. Top-down lithography techniques are used for fabrication of logic and …

[HTML][HTML] Nanoparticle size determination using optical microscopes

R Attota, PP Kavuri, H Kang, R Kasica… - Applied Physics …, 2014 - pubs.aip.org
We present a simple method for size determination of nanoparticles using conventional
optical microscopes. The method, called through-focus scanning optical microscopy, makes …

TSOM method for semiconductor metrology

R Attota, RG Dixson, JA Kramar… - … Process Control for …, 2011 - spiedigitallibrary.org
Through-focus scanning optical microscopy (TSOM) is a new metrology method that
achieves 3D nanoscale measurement sensitivity using conventional optical microscopes; …

[HTML][HTML] Resolving three-dimensional shape of sub-50 nm wide lines with nanometer-scale sensitivity using conventional optical microscopes

R Attota, RG Dixson - Applied Physics Letters, 2014 - pubs.aip.org
We experimentally demonstrate that the three-dimensional (3-D) shape variations of
nanometer-scale objects can be resolved and measured with sub-nanometer scale …

[HTML][HTML] Critical dimension metrology by through-focus scanning optical microscopy beyond the 22 nm node

R Attota, B Bunday, V Vartanian - Applied Physics Letters, 2013 - pubs.aip.org
We present results using simulations and experiments to demonstrate metrological
applications of the through-focus scanning optical microscopy (TSOM) down to features at …

Nondestructive shape process monitoring of three-dimensional, high-aspect-ratio targets using through-focus scanning optical microscopy

RK Attota, H Kang, K Scott, R Allen… - Measurement …, 2018 - iopscience.iop.org
Low-cost, high-throughput and nondestructive metrology of truly three-dimensional (3D)
targets for process control/monitoring is a critically needed enabling technology for high …

Parameter optimization for through-focus scanning optical microscopy

RK Attota, H Kang - Optics Express, 2016 - opg.optica.org
It is important to economically and non-destructively analyze three-dimensional (3-D)
shapes of nanometer to micrometer scale objects with sub-nanometer measurement …

Applications of super-resolution imaging in the field of surface topography measurement

R Leach, B Sherlock - Surface Topography: Metrology and …, 2013 - iopscience.iop.org
A large range of high-value manufactured parts require structures to be produced over large
areas (metres squared) at high-resolution (micrometres and smaller). Such manufacturing …